Es wurden 5846 Produkte zu dem Suchbegriff asics in 14 Shops gefunden:
-
Advanced Asic Chip Synthesis - Himanshu Bhatnagar, Kartoniert (TB)
Anbieter: Weltbild CH Preis: 59,00 CHFAdvanced ASIC Chip Synthesis: Using Synopsys® Design Compiler® and PrimeTime® describes the advanced concepts and techniques used for ASIC chip synthesis, formal verification and static timing analysis, using the Synopsys suite of tools. In addition, the entire ASIC design flow methodology targeted for VDSM (Very-Deep-Sub-Micron) technologies is covered in detail. The emphasis of this book is on real-time application of Synopsys tools used to combat various problems seen at VDSM geometries. Readers will be exposed to an effective design methodology for handling complex, sub-micron ASIC designs. Significance is placed on HDL coding styles, synthesis and optimization, dynamic simulation, formal verification, DFT scan insertion, links to layout, and static timing analysis. At each step, problems related to each phase of the design flow are identified, with solutions and work-arounds described in detail. In addition, crucial issues related to layout, which includes clock tree synthesis and back-end integration (links to layout) are also discussed at length. Furthermore, the book contains in-depth discussions on the basics of Synopsys technology libraries and HDL coding styles, targeted towards optimal synthesis solutions. Advanced ASIC Chip Synthesis: Using Synopsys® Design Compiler® and PrimeTime® is intended for anyone who is involved in the ASIC design methodology, starting from RTL synthesis to final tape-out. Target audiences for this book are practicing ASIC design engineers and graduate students undertaking advanced courses in ASIC chip design and DFT techniques. From the Foreword: `This book, written by Himanshu Bhatnagar, provides a comprehensive overview of the ASIC design flow targeted for VDSM technologies using the Synopsis suite of tools. It emphasizes the practical issues faced by the semiconductor design engineer in terms of synthesis and the integration offront-end and back-end tools. Traditional design methodologies are challenged and unique solutions are offered to help define the next generation of ASIC design flows. The author provides numerous practical examples derived from real-world situations that will prove valuable to practicing ASIC design engineers as well as to students of advanced VLSI courses in ASIC design'. Dr Dwight W. Decker, Chairman and CEO, Conexant Systems, Inc., (Formerly, Rockwell Semiconductor Systems), Newport Beach, CA, USA.
-
ASICS GEL-CONTEND 8 Laufschuhe Herren schwarz 43 1/2
Anbieter: Sportscheck.ch Preis: 69,95 CHF (+5,95 CHF)Vielseitige Laufschuhe aus atmungsaktivem Mesh-Obermaterial mit Einsätzen aus Synthetik und Schnürung ; GEL®-Technologie und AmpliFoam-Schaum für eine optimale Dämpfung; herausnehmbare OrthoLite®-Innensohle; gepolsterter Schaft; profilierte Gummi-Außensohle; geeignet für Normalpronierer; ideal...
-
Basics / Basics Building Contract, Kartoniert (TB)
Anbieter: Weltbild.ch Preis: 27,90 CHFOnce the design has been completed, the architects prepare the tender documents for the contractors, and provide support during the tender procedure. For the purpose of commissioning various building works it is necessary to set up building contracts which contain standard content as well as very individual provisions. The building contract and its extensive drawings and specifications are the basis for the building work; they must be understood by the supervising architect and implemented to create the building. The complexity and diversity of building contracts is increased by the fact that there is hardly a building contract that does not have to be modified after it has been signed. Basics Building Contract offers the typical structures and explanations, as well as the tools for creating project-specific contracts and understanding the inherent complexity.
-
Substrate Noise Coupling In Mixed-Signal Asics, Kartoniert (TB)
Anbieter: Weltbild CH Preis: 177,00 CHFThe purpose of Substrate Noise Coupling in Mixed-Signal ASICs is to provide an overview of very recent research results in the field of substrate noise analysis and reduction techniques. Much of the reported work has been established as part of the Mixed-Signal Initiative of the European Union. It is a representative sampling of the current state of the art in this area. All the different aspects of the substrate noise coupling problem are covered. Some chapters describe techniques to model and reduce the digital switching noise injected in the substrate. Other chapters describe methods to analyse the propagation of the noise from the source (the digital circuitry) to the reception point (the embedded analog circuitry) through the substrate considered as a resistive/capacitive mesh. Finally, the remaining chapters describe techniques to model and especially to reduce the impact of substrate noise on the analog side. This is illustrated with several practical design examples and measurement results.
-
ASICS Kinder Hallenschuhe Upcourt 5 GS blau Größe: 32,5 1074A039 Auf Lager Unisex 32.5
Anbieter: Gigasport.ch Preis: 49,22 CHF (+3,95 CHF)Der UPCOURT™ 5 GS Schuh wurde entwickelt, um eine bessere Flexibilität und eine bequemere Passform zu bieten Es verfügt über einen breiteren Abschnitt aus Mesh-Einsätzen, der dazu beiträgt, ein weiches und anpassungsfähiges Gefühl zu schaffen Unterdessen bieten seine stützenden Mittelfußüberzüge eine bessere Stabilität bei multidirektionalen Bewegungen Zu guter Letzt sind die Zehen- und Fersenkappe mit strapazierfähigen Einsätzen verstärkt, die dazu beitragen, dass die Schuhe länger halten Weite: Standard Hersteller Art.nr.: 1074A039.403
-
Talk-Box, Basics Für Paare (Spiel)
Anbieter: Weltbild.ch Preis: 19,95 CHF (+7,95 CHF)Kommen Sie ins Staunen darüber, wie vielschichtig der andere ist! Eine wahre Fundgrube für Paare, deren gemeinsamer Weg gerade erst begonnen hat.
-
Advanced Asic Chip Synthesis - Himanshu Bhatnagar, Kartoniert (TB)
Anbieter: Weltbild CH Preis: 271,50 CHFAdvanced ASIC Chip Synthesis: Using Synopsys® Design Compiler® Physical Compiler® and PrimeTime®, Second Edition describes the advanced concepts and techniques used towards ASIC chip synthesis, physical synthesis, formal verification and static timing analysis, using the Synopsys suite of tools. In addition, the entire ASIC design flow methodology targeted for VDSM (Very-Deep-Sub-Micron) technologies is covered in detail. The emphasis of this book is on real-time application of Synopsys tools, used to combat various problems seen at VDSM geometries. Readers will be exposed to an effective design methodology for handling complex, sub-micron ASIC designs. Significance is placed on HDL coding styles, synthesis and optimization, dynamic simulation, formal verification, DFT scan insertion, links to layout, physical synthesis, and static timing analysis. At each step, problems related to each phase of the design flow are identified, with solutions and work-around described in detail. In addition, crucial issues related to layout, which includes clock tree synthesis and back-end integration (links to layout) are also discussed at length. Furthermore, the book contains in-depth discussions on the basis of Synopsys technology libraries and HDL coding styles, targeted towards optimal synthesis solution. Target audiences for this book are practicing ASIC design engineers and masters level students undertaking advanced VLSI courses on ASIC chip design and DFT techniques.
-
ASICS GEL-KAYANO 30 Laufschuhe Schwarz 43.5 male
Anbieter: Intersport.ch Preis: 239,00 CHFAsics - GEL-KAYANO 30 Laufschuhe - Herren - Laufschuhe - Schwarz - 43.5
-
Basics / Basics Concevoir L Habitat - Jan Krebs, Kartoniert (TB)
Anbieter: Weltbild.ch Preis: 27,90 CHFResidential Buildings are normally the first design projects encountered by the student in his or her training. The focus at this point is on the different conceptual possibilities that allow one to take the first step toward an actual idea. Themes: Concepts and kinds of cohabitation and dwelling Residential functions The creation of living space Design in housing construction
-
Asic Design And Synthesis - Vaibbhav Taraate, Kartoniert (TB)
Anbieter: Weltbild CH Preis: 153,50 CHFThis book describes simple to complex ASIC design practical scenarios using Verilog. It builds a story from the basic fundamentals of ASIC designs to advanced RTL design concepts using Verilog. Looking at current trends of miniaturization, the contents provide practical information on the issues in ASIC design and synthesis using Synopsys DC and their solution. The book explains how to write efficient RTL using Verilog and how to improve design performance. It also covers architecture design strategies, multiple clock domain designs, low-power design techniques, DFT, pre-layout STA and the overall ASIC design flow with case studies. The contents of this book will be useful to practicing hardware engineers, students, and hobbyists looking to learn about ASIC design and synthesis.
-
Asics - Sneakers, Low Top, Japan S Men, 45, Weiss
Anbieter: Manor.ch Preis: 99,00 CHF (+0,99 CHF)Der traditionsbewusste ASICS JAPAN S™ Sportstyle-Schuh ist ein idealer Begleiter. Hergestellt aus einer Mischung aus weichem Leder und erhältlich in monochromen Farben, verleiht dir die saubere, gute Optik dieses Schuhs Stil und Komfort im Büro und auf der Strasse. - Modellname: Japan S Men - Marketingfarbe: Weiss - Produktgröße: 45 - Marke: Asics
-
Basics / Basics Mathe, Bruchrechnen - Hans J. Schmidt, Geheftet
Anbieter: Weltbild.ch Preis: 27,90 CHFAlle Phänomene einfach erklärt, die Lösungswege bildlich veranschaulicht, Zusatzaufgaben mit ansteigendem Schwierigkeitsgrad Immer wieder dieselbe Leier: Nach den Sommerferien kann sich kaum ein Schüler daran erinnern, wie man mit Brüchen rechnet. Sie möchten ein neues Thema einführen und müssen feststellen, dass Ihre Schüler grundlegende Basics nicht oder nicht mehr beherrschen. Selbst in höheren Klassen fehlt es oft an grundlegenden mathematischen Fertigkeiten. Mit unserer Reihe Basics Mathe liefern wir Ihnen genau dafür sehr einfache und sofort einsetzbare Kopiervorlagen zur effektiven Wiederholung mathematischer Grundfertigkeiten. Die Basics werden so einfach und anschaulich erklärt, dass wirklich jeder Schüler sie versteht und verinnerlicht - und das sogar selbstständig. Jedes Phänomen wird zunächst am einfachsten möglichen Fall erklärt, der Lösungsweg wird bildlich veranschaulicht und dadurch für den Schüler nachvollziehbar und einprägsam. Nach einem zweiten Beispiel folgt eine Textaufgabe mit lebenspraktischem Bezug. Auf der Übungsseite werden dann Aufgaben mit ansteigendem Schwierigkeitsgrad angeboten. Die Progression ist dabei so kleinschrittig und durchdacht, dass der Schüler behutsam und systematisch an immer schwierigere Beispiele herangeführt wird. Die nötigen Fachtermini werden eingeführt, zusätzlich werden aber immer einfache Erklärungen in schülernaher Sprache angeboten. So einfach war Wiederholen noch nie! Für alle Klassenstufen geeignet und sofort einsetzbar - auch im Physikunterricht! Der Band enthält: - 50 sofort einsetzbare Kopiervorlagen - zur Auflockerung eine lustige Aufgabenseite nach jedem Themenblock - einen 2-seitigen Test zum unkomplizierten Überprüfen des Wissensstandes Ihrer Schüler - Lösungen
-
An Asic Low Power Primer - Rakesh Chadha, J. Bhasker, Kartoniert (TB)
Anbieter: Weltbild CH Preis: 118,00 CHFThis book provides an invaluable primer on the techniques utilized in the design of low power digital semiconductor devices. It offers a hands-on approach which starts from the ground-up and explains concepts with basic examples.
-
Asics Hallenschuh »UPCOURT 5 GS« weiss-blau 33,5
Anbieter: Ackermann.ch Preis: 7,99 CHFGut ausgerüstet geht es mit den Hallenschuhen von Asics zum nächsten Trainingsprogramm. Der Halbschuh mit runder Spitze hat eine Schnürung. Damit kann die Schuhweite an die Fussform angepasst werden. Der Hallenschuh ist besonders für das Badmintonspielen und das Squashspielen geeignet. Die...
-
Basics / Basics Mathe, Terme Und Gleichungen - Michael Frank, Geheftet
Anbieter: Weltbild.ch Preis: 27,90 CHFDer Schüler wird behutsam und systematisch an immer schwierigere Beispiele herangeführt wird. Immer wieder dieselbe Leier: Nach den Sommerferien kann sich kaum ein Schüler daran erinnern, wie man Terme und Gleichungen berechnet. Bei der Einführung eines neuen Themas müssen Sie feststellen, dass Basics der Mathematik nicht beherrscht werden. Selbst in höheren Klassen fehlt es oft an grundlegenden mathematischen Fertigkeiten. Mit unserer Reihe Basics Mathe liefern wir Ihnen genau dafür sehr einfache und sofort einsetzbare Kopiervorlagen zur effektiven Wiederholung mathematischer Grundfertigkeiten. Die Basics werden so einfach und anschaulich erklärt, dass wirklich jeder Schüler sie versteht und verinnerlicht - und das so sogar selbstständig. Jedes Phänomen wird zunächst am einfachsten Fall erklärt, nach einem zweiten Beispiel folgt eine Textaufgabe mit lebenspraktischem Bezug. Auf der Übungsseite werden dann Aufgaben mit ansteigendem Schwierigkeitsgrad angeboten. Die Progression ist dabei so kleinschrittig und durchdacht, dass der Schüler behutsam und systematisch an immer schwierigere Beispiele herangeführt wird. Zusätzlich zu den nötigen Fachtermini werden immer einfache Erklärungen in schülernaher Sprache angeboten. So einfach war Wiederholen noch nie! Für alle Klassenstufen geeignet und sofort einsetzbar - auch im Physikunterricht! Der Band enthält: - 50 sofort einsetzbare Kopiervorlagen - zur Auflockerung eine lustige Aufgabenseite nach jedem Themenblock - einen 2-seitigen Test zum unkomplizierten Überprüfen des Wissensstandes Ihrer Schüler - Lösungen
-
Asic/Soc Functional Design Verification - Ashok B. Mehta, Kartoniert (TB)
Anbieter: Weltbild CH Preis: 130,00 CHFThis book describes in detail all required technologies and methodologies needed to create a comprehensive, functional design verification strategy and environment to tackle the toughest job of guaranteeing first-pass working silicon. The author first outlines all of the verification sub-fields at a high level, with just enough depth to allow an engineer to grasp the field before delving into its detail. He then describes in detail industry standard technologies such as UVM (Universal Verification Methodology), SVA (SystemVerilog Assertions), SFC (SystemVerilog Functional Coverage), CDV (Coverage Driven Verification), Low Power Verification (Unified Power Format UPF), AMS (Analog Mixed Signal) verification, Virtual Platform TLM2.0/ESL (Electronic System Level) methodology, Static Formal Verification, Logic Equivalency Check (LEC), Hardware Acceleration, Hardware Emulation, Hardware/Software Co-verification, Power Performance Area (PPA) analysis on a virtual platform, ReuseMethodology from Algorithm/ESL to RTL, and other overall methodologies.
-
Schuhe Asics Gel-Contend 8 1011B492 Black/Carrier Grey 001 44 male
Anbieter: Eschuhe.ch Preis: 67,00 CHF (+0,99 CHF)Schuhe Asics Gel-Contend 8 1011B492 Black/Carrier Grey 001
-
Basics / Basics Homöopathie - Wiebke Lohmann, Kartoniert (TB)
Anbieter: Weltbild.ch Preis: 47,00 CHFHier finden Sie einen Überblick über die gesamte Homöopathie: Wo kommt sie her, wie funktioniert sie, was kann man damit bewirken? Gut: alles, was Sie über Homöopathie wissen sollten Besser: mit vielen Klinikkästen Basics: jedes Thema in kleinen Häppchen auf je einer Doppelseite. Schön in Farbe, prima zu lesen und mit vielen Versteh-Bildern im typischen Basics-Stil Das Beste: geschrieben von einem starken Team -Studenten und erfahrenen Ärzten - die wirklich Bescheid wissen. So wird Homöopathie verständlich, ohne zu sehr ins Detail zu gehen. Ideal zum Einarbeiten ins Thema!Die BASICS-Reihe bietet Ihnen: das Wesentliche zum Thema in leicht verständlicher Form schnell fit für Praktika, Famulaturen und bed-side-teaching-Kurse fächerübergreifendes Wissen - ideal zum Lernen nach der neuen AO Print on Demand, daher verlängerte Lieferzeit.
-
Closing The Gap Between Asic & Custom - David Chinnery, Kurt Keutzer, Kartoniert (TB)
Anbieter: Weltbild CH Preis: 177,00 CHFby Kurt Keutzer Those looking for a quick overview of the book should fast-forward to the Introduction in Chapter 1. What follows is a personal account of the creation of this book. The challenge from Earl Killian, formerly an architect of the MIPS processors and at that time Chief Architect at Tensilica, was to explain the significant performance gap between ASICs and custom circuits designed in the same process generation. The relevance of the challenge was amplified shortly thereafter by Andy Bechtolsheim, founder of Sun Microsystems and ubiquitous investor in the EDA industry. At a dinner talk at the 1999 International Symposium on Physical Design, Andy stated that the greatest near-term opportunity in CAD was to develop tools to bring the performance of ASIC circuits closer to that of custom designs. There seemed to be some synchronicity that two individuals so different in concern and character would be pre-occupied with the same problem. Intrigued by Earl and Andy's comments, the game was afoot. Earl Killian and other veterans of microprocessor design were helpful with clues as to the sources of the performance discrepancy: layout, circuit design, clocking methodology, and dynamic logic. I soon realized that I needed help in tracking down clues. Only at a wonderful institution like the University of California at Berkeley could I so easily commandeer an ab- bodied graduate student like David Chinnery with a knowledge of architecture, circuits, computer-aided design and algorithms.
-
Asics Aufbewahrungstasche schwarz Größe
Anbieter: Jelmoli-shop.ch Preis: 5,99 CHFDieser Laufrucksack besticht durch ein leichtes Design. Er besteht aus einem bequemen Stretchmaterial und verstellbaren Schultergurten. Der Rucksack verfügt über ein geringes Gewicht und bietet Platz für kleinere Accessoires bei deinen Läufen.
5846 Ergebnisse in 0.891 Sekunden
Verwandte Suchbegriffe
© Copyright 2024 shopping.eu